SNUG Austin 2019: All Your Base Transactions Belong to Us

Paper

Slides

Code

Technical Committee Award for Best Paper

This paper demonstrates how the mixin design pattern can be utilized in SystemVerilog to supplement any transaction class in a UVM project with centrally defined metadata and functionality. The solutions presented can be applied to any verification project with minimal effort to achieve better management of code dealing with transaction processing.

Also presented as an invited paper at DVCon Europe 2019.

( Jeff Vance , Alex Melikian )



Created  
October 9, 2019
#UVM #SNUG #AWARD